31_35.pdf

(295 KB) Pobierz
Dekoder-sterownik 7-segmentowego wyświetlacza LCD w VHDL
Dekoder−sterownik 7−segmentowego wyświetlacza LCD w VHDL
P R O J E K T Y
Dekoder−sterownik
7−segmentowego
wyświetlacza LCD
w VHDL
Do podjÍcia tego tematu
zachÍci³ mnie post na
pl.misc.elektronika, w†ktÛrym
jeden z†grupowiczÛw zwrÛci³
uwagÍ na pewien problem
przy opisie uk³adu w†jÍzyku
VHDL - przypisanie
jednobitowego sygna³u do
wielobitowego wektora. Przy
okazji przedstawiamy
przydatny, aczkolwiek niezbyt
czÍsto stosowany
w†praktycznych aplikacjach
blok funkcjonalny, ktÛry -
dziÍki uniwersalnemu jÍzykowi
opisu - moøna traktowaÊ jak
klasyczny blok IP (Intellectual
Property core).
Rekomendacje : modu³ IP
przydatny we wszelkiego
rodzaju aplikacjach,
w†ktÛrych zastosowano
klasyczne, 7-segmentowe
wyúwietlacze LCD.
Dekoder-sterownik opisany
w†artykule by³ kilkakrotnie sygna-
lizowany przez CzytelnikÛw, ale
ze wzglÍdu na jego podobieÒstwo
do scalonego dekodera-sterownika
7-segmentowych wyúwietlaczy
LED typu 4543 (uk³ad z†serii
CMOS) nie zamierza³em siÍ nim
zajmowaÊ. Nies³usznie - w†budo-
wie tego uk³adu tkwi ìkruczekî,
ktÛry sprawia sporo k³opotÛw po-
cz¹tkuj¹cym konstruktorom ko-
rzystaj¹cym z†VHDL.
fizyczne w³asnoúci zarÛwno cia³a
sta³ego, jak i†p³ynu. Jedn¹ z†ich
w³aúciwoúci jest to, øe zmieniaj¹
one swoje po³oøenie w†zaleønoúci
od przy³oøonego napiÍcia (w za-
sadzie od natÍøenia pola elekt-
rycznego pomiÍdzy ok³adkami
ìkondensatoraî, w†ktÛrym dielek-
trykiem jest ciek³y kryszta³).
Ciek³e kryszta³y w†wyúwietal-
czach stosuje siÍ najczÍúciej w†po-
staci cienkiej warstwy umieszczo-
nej miÍdzy dwiema szklanymi
p³aszczyznami, ktÛre spe³niaj¹ ro-
lÍ elektrod ( rys. 1 ). Oddzia³ywa-
nia powierzchniowe miÍdzy cz¹s-
teczkami ciek³ego kryszta³u a†ma-
teria³em elektrod powoduj¹ po-
wstanie okreúlonego u³oøenia (tek-
stury) cz¹steczek w†warstwie ciek-
³okrystalicznej. SpoúrÛd wielu
znanych tekstur molekularnych
ciek³ych kryszta³Ûw najwaøniejsze
s¹: tekstura planarna, charaktery-
zuj¹ca siÍ rÛwnoleg³ym u³oøeniem
cz¹stek ciek³ego kryszta³u w†sto-
sunku do p³aszczyzny elektrod
i†tekstura homeotropowa - o†pros-
topad³ym uk³adzie cz¹steczek.
Bardzo waøn¹ odmian¹ tekstury
planarnej jest konfiguracja TN
( Twisted Nematics ), czyli tzw.
skrÍconego nematyka, ktÛra cha-
rakteryzuje siÍ skrÍceniem osi
Wyúwietlacze LCD-TN
LCD to akronim pochodz¹cy
od Liquid Crystal Display , co
oznacza wyúwietlacz ciek³okrysta-
liczny. Ciek³e kryszta³y posiadaj¹
Rys. 1. Przekrój ilustrujący budowę klasycznego wyświetlacza LCD
Elektronika Praktyczna 6/2003
31
32583575.048.png 32583575.049.png 32583575.050.png
Dekoder−sterownik 7−segmentowego wyświetlacza LCD w VHDL
Rys. 2. Zasada działania wyświetlaczy LCD−TN
wiÍc przepuszczone. Po przy³oøe-
niu napiÍcia, cz¹steczki bia³ego
kryszta³u ustawi¹ siÍ prostopadle
do powierzchni szk³a i†polaryza-
torÛw. Nie bÍd¹ skrÍca³y p³asz-
czyzny polaryzacji úwiat³a. Zosta-
nie wiÍc ono zatrzymane przez
drugi, dolny polaryzator.
W³aúciwoúci ciek³ych kryszta-
³Ûw pozostaj¹ w†úcis³ym zwi¹zku
z†ich budow¹ chemiczn¹. NajogÛl-
niej moøna powiedzieÊ, øe s¹ to
substancje, ktÛrych cz¹steczki
o†wyd³uøonych kszta³tach maj¹
charakter polarny, tzn. w†ich mo-
leku³ach wystÍpuje nierÛwnomier-
ny rozk³ad ³adunku elektrycznego.
Cz¹steczki o†takich w³aúciwoú-
ciach bÍd¹ reagowa³y na pole
elektryczne.
WspÛ³czeúnie produkowane
wyúwietlacze LCD, ze wzglÍdu na
s³ab¹ odpornoúÊ stosowanych ciek-
³ych kryszta³Ûw na d³ugotrwa³¹
polaryzacjÍ napiÍciem sta³ym (po-
lem elektrycznym o†sta³ym, doúÊ
duøym natÍøeniu i†kierunku), wy-
magaj¹ sterowania impulsowego.
Polega ono na przy³oøeniu do
wspÛlnej elektrody wszystkich
segmentÛw ( backplane ) sygna³u
prostok¹tnego o†czÍstotliwoúci
30...300 Hz i†napiÍciu o†wartoúci
2...5 V. Maksymalna wartoúÊ na-
piÍcia sta³ego polaryzuj¹cego seg-
menty zazwyczaj nie moøe byÊ
wiÍksza od 50 mV. Wyúwietlacze
LCD-TN s¹ stosunkowo wolne -
w†temperaturze 25 o C czas w³¹-
czania segmentu wynosi co naj-
mniej 60 ms, natomiast czas
wy³¹czania zazwyczaj nie jest
krÛtszy niø 80 ms.
Rys. 3. Włączenie segmentu wyświetlacza LCD wymaga wysterowania
elektrody backplane sygnałem o przeciwnej fazie niż sygnału sterującego
segment
cz¹steczek rÛwnolegle u³oøonych
przy obu powierzchniach o†k¹t 90
lub 45 o ( rys. 2 ). StrukturÍ skrÍ-
conego nematyka moøna uzyskaÊ
ze zwyczajnych prÍtopodobnych
cz¹steczek. Wymaga to jednak
specjalnej obrÛbki powierzchni
p³ytek szklanych. Ich powierzch-
niÍ pokrywa siÍ cienk¹, przezro-
czyst¹ warstewk¹ polimeru i†po-
leruje w†jednym kierunku. PrÍto-
podobne cz¹steczki ustawiaj¹ siÍ
zgodnie z kierunkiem polerowa-
nia. Jeøeli drug¹ szklan¹ p³ytkÍ
wypolerowan¹ analogicznie prze-
krÍcimy o†90 o i†miÍdzy te p³ytki
wprowadzimy ciek³y kryszta³ ne-
matyczny, to przyjmie on struk-
turÍ skrÍcon¹ o†90 o . Taka struk-
tura skrÍca p³aszczyznÍ polaryza-
cji úwiat³a o 90 o . Jeøeli powierz-
chnie p³ytek szklanych pokryjemy
cienk¹, przezroczyst¹ warstw¹
przewodz¹c¹, to mamy moøliwoúÊ
wytworzenia pola elektrycznego
pomiÍdzy ok³adzinami ìkondensa-
toraî. PrÍtopodobne cz¹steczki bÍ-
d¹ siÍ ustawia³y zgodnie z†liniami
si³ pola elektrycznego, prostopad-
le do powierzchni szk³a.
Jeøeli przygotowane p³ytki
z†warstw¹ przewodz¹c¹ (rys. 1),
warstw¹ orientuj¹c¹ i†warstw¹
skrÍconego nematyka wstawimy
miÍdzy skrzyøowane polaryzatory
(rys. 2), to úwiat³o bia³e przejdzie
przez gÛrny polaryzator jako spo-
laryzowane, w†warstwie skrÍcone-
go nematyka kierunek drgaÒ uleg-
nie skrÍceniu o†90 o i†padaj¹c na
drugi skrzyøowany polaryzator, bÍ-
dzie mia³o kierunek drgaÒ zgodny
z†dolnym polaryzatorem. Zostanie
Dzieło przypadku
Ciekłe kryształy, podobnie do większości wynalazków, odkryto
przez przypadek. W 1888 roku austriacki botanik Friedrich
Reinitzer badał, jaką rolę odgrywa w roślinach cholesterol.
W jednym z eksperymentów wystawił on badany materiał na
działanie ciepła, przy czym odkrył, że kryształ w temperaturze
145 o C staje się mętnym płynem, zaś przy 179 o C przechodzi
całkowicie w stan płynny. W dwa lata później, w Karlsruhe,
niemiecki fizyk Otto Lehman wprowadza pojęcie: ciekły
kryształ, stwierdzając ich specyficzną strukturę fazową.
Ponownie do substancji o właściwościach ciekłych kryształów
wrócono w latach sześćdziesiątych XX wieku, kiedy Ameryka−
nin James L. Ferguson stwierdził, iż ciekłe kryształy można
zastosować jako wskaźniki temperatury, zaś George Heilmeier
z Princeton odkrył zjawisko tzw. zakłóceń dynamicznych
światła przechodzącego przez ciekły kryształ w polu
elektrycznym. Jego publikacje z roku 1968 można uznać za
początek technicznego zastosowania ciekłych kryształów.
32
Elektronika Praktyczna 6/2003
32583575.051.png 32583575.001.png 32583575.002.png 32583575.003.png 32583575.004.png 32583575.005.png
Dekoder−sterownik 7−segmentowego wyświetlacza LCD w VHDL
Rys. 4. Schemat blokowy dekodera
BCD−> 7 segmentów
7-segmentowego. Jego
schemat blokowy po-
kazano na rys. 4 . Jak
widaÊ, sk³ada siÍ on
z†dwÛch blokÛw:
-standardowego transko-
dera kodu BCD na kod
wskaünika 7-segmento-
wego (z wyjúciami ak-
tywnymi w†stanie ì1î),
-zespo³u sterowanych
inwerterÛw, ktÛre od-
wracaj¹ fazÍ sygna³Ûw
steruj¹cych segmentami
o†180 o w†stosunku do sygna³u
zasilaj¹cego backplane w†przy-
padku, gdy wybrane segmenty
maj¹ byÊ w³¹czone, lub
powtarzaj¹cych sygna³ backplane
- w†przypadku, gdy sterowane
segmenty maj¹ byÊ wy³¹czone.
W†opisie architektury dekodera
znajduj¹cym siÍ po deklaracji jed-
nostki entity zastosowano instruk-
cjÍ selekcjonuj¹c¹ przypisania
with...select , dla ktÛrej szablonem
wyraøeÒ selekcjonuj¹cych jest 4-
bitowy wektor din . Wektor segm
jest zadeklarowany jako pomocni-
czy sygna³ wewnÍtrzny (za pomo-
c¹ deklaracji signal segm: std_lo-
gic_vector(6 downto 0) ) i†odpo-
wiada on sygna³om a...g_stat , po-
kazanym na rys. 4†i†5. Wykorzys-
tanie instrukcji with...select wy-
maga zdefiniowania odpowiedzi
uk³adu na wszystkie moøliwe war-
toúci wyraøenia selekcjonuj¹cego,
w†zwi¹zku z†czym jako ostatni¹
trzeba zastosowaÊ klauzulÍ
ì0000000î when others - bez niej
kompilacja siÍ nie uda. Za³oøono,
øe wyúwietlacz bÍdzie wy-
³¹czony (øaden segment nie
bÍdzie widoczny) dla ko-
dÛw wejúciowych powyøej
9 (1001), czyli dekoder jest
przeznaczony wy³¹cznie do
wyúwietlania cyfr z†zakre-
su 0...9. Nic nie stoi na
przeszkodzie, aby w†razie
takiej potrzeby samodziel-
nie zdefiniowaÊ znaki o†ko-
dach A...Fh (dziesiÍtnie
10...15), czego przyk³ad pokazano
na list. 2 . Naleøy zwrÛciÊ uwagÍ,
øe pomimo jawnego zadeklarowa-
nia wszystkich wartoúci wektora
Widoczne (ìw³¹czoneî) seg-
menty wyúwietlacza musz¹ byÊ
sterowane napiÍciem o†takiej sa-
mej wartoúci, lecz fazie odwrÛ-
conej o†180 o . Do segmentÛw, ktÛ-
re maj¹ byÊ niewidoczne (ìwy-
³¹czoneî), naleøy przy³oøyÊ na-
piÍcie o†takiej samej
amplitudzie i†fazie, jak
przy³oøone do elektro-
dy wspÛlnej. Na rys. 3
pokazano przebiegi ste-
ruj¹ce dwoma segmen-
tami wyúwietlacza
LCD: jeden z†nich jest
w³¹czony, drugi wy³¹-
czony.
Narzędzia za darmo
Wszyscy liczący się producenci układów
programowalnych udostępniają do celów
edukacyjnych bezpłatne narzędzia do
projektowania. Pomimo tego, że są
udostępniane za darmo, ich funkcjonalność
jest porównywalna z narzędziami komercyjny−
mi i bez trudu można za ich pomocą
wykonać także zaawansowane projekty.
Opis projektu
Bior¹c pod uwagÍ wymagania
stawiane sterownikom przez wy-
úwietlacze LCD-TN, musimy za-
projektowaÊ dekoder, na wyjúciach
ktÛrego bÍd¹ wystÍpowa³y sygna³y
steruj¹ce poszczegÛlnymi segmen-
tami wyúwietlacza i†- oczywiúcie
- elektrod¹ wspÛln¹ ( backplane ).
Dla przyk³adu skupimy siÍ na
zaprojektowaniu dekodera dla
ciek³okrystalicznego wyúwietlacza
Sterowane inwertery moøna zre-
alizowaÊ na wiele sposobÛw, spo-
úrÛd ktÛrych najodpowiedniejszym
dla celÛw tego projektu s¹ bramki
Ex-OR po³¹czone w†sposÛb poka-
zany na rys. 5 . Wejúciowy sygna³
clk po³¹czony bezpoúrednio z†wyj-
úciem bp jest podawany na jedno
z†wejúÊ kaødej z†bramek Ex-OR,
natomiast na kaøde drugie wejúcie
jest podawany sygna³ z†dekodera
BCD->7 segmentÛw ( a...g_stat ).
W†zaleønoúci od stanÛw na tych
wejúciach, na wyjúciach bramek
wyst¹pi¹ przebiegi prostok¹tne bÍ-
d¹ce w†fazie z†sygna³em clk (jeøeli
na odpowiednim wejúciu a...g_stat
jest logiczne ì0î) - wtedy tak
sterowany segment nie jest w³¹-
czony, lub w†przeciwfazie (jeøeli
na odpowiednim wejúciu a...g_stat
jest logiczna ì1î), co powoduje
w³¹czenie segmentu.
List. 1. Przykładowy opis w języku
VHDL dekodera−sterownika 7−
segmentowego wyświetlacza LCD
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity lcd_dek is port (
din: in std_logic_vector(3 downto 0);
clk: in std_logic;
bp: inout std_logic;
segm_o: out std_logic_vector(6 downto 0)
);
end lcd_dek;
architecture ar_dyn of lcd_dek is
signal segm: std_logic_vector(6 downto 0);
begin
with din select
-- gfedcba
segm <= "0111111" when "0000", -- 0
"0000110" when "0001", -- 1
"1011011" when "0010", -- 2
"1001111" when "0011", -- 3
"1100110" when "0100", -- 4
"1101101" when "0101", -- 5
"1111101" when "0110", -- 6
"0000111" when "0111", -- 7
"1111111" when "1000", -- 8
"1101111" when "1001", -- 9
"0000000" when others; -- wygaszenie
segm_o(0) <= segm(0) xor bp;
segm_o(1) <= segm(1) xor bp;
segm_o(2) <= segm(2) xor bp;
segm_o(3) <= segm(3) xor bp;
segm_o(4) <= segm(4) xor bp;
segm_o(5) <= segm(5) xor bp;
segm_o(6) <= segm(6) xor bp;
bp <= clk;
end ar_dyn;
Rys. 5. Jednym z możliwych
rozwiązań sterowanego inwertera
jest zastosowanie bramek Ex−OR
Jak to zrobiÊ w†VHDL-u?
Przejdümy do pokazania spo-
sobu zapisania w†jÍzyku VHDL
dekodera zbudowanego zgodnie
ze schematem blokowym z†rys. 4.
Jeden z†moøliwych wariantÛw opi-
su pokazano na list. 1 .
Elektronika Praktyczna 6/2003
33
32583575.006.png 32583575.007.png 32583575.008.png 32583575.009.png 32583575.010.png 32583575.011.png 32583575.012.png 32583575.013.png 32583575.014.png 32583575.015.png 32583575.016.png 32583575.017.png 32583575.018.png 32583575.019.png 32583575.020.png 32583575.021.png 32583575.022.png 32583575.023.png
Dekoder−sterownik 7−segmentowego wyświetlacza LCD w VHDL
List. 2. Przykładowa modyfikacja
programu z list. 1, dzięki której
dekodowane są także wektory
wejściowe A...Fh
-- gfedcba
-- ............................
"1111111" when "1000", -- 8
"1101111" when "1001", -- 9
"1110111" when "1010", -- kod 10 - znak "A"
"1111100" when "1011", -- kod 11 - znak "b"
"0111001" when "1100", -- kod 12 - znak "C"
"1011110" when "1101", -- kod 13 - znak "d"
"1111001" when "1110", -- kod 14 - znak "E"
"1110001" when "1111", -- kod 15 - znak "F"
"0000000" when others;
Język VHDL uchodzi za jeden z bardziej skomplikowanych
języków opisu sprzętu (HDL − Hardware Description
Language ). Pomimo dość rygorystycznych reguł formalnych
obowiązujących podczas pisania programu, język ten
charakteryzuje się znaczną uniwersalnością, co w praktyce
oznacza, że dobrze przygotowany opis bloku funkcjonalnego
będzie można “wbudować” zarówno w układy PLD, jak i ASIC
pochodzące od różnych producentów. Niebagatelne znaczenie
dla projektantów systemów cyfrowych ma fakt, że podzbiór
języka VHDL, który jest obsługiwany przez programy do
syntezy logicznej, jest niewielki i stosunkowo łatwy do
nauczenia się.
Istotną cechą VHDL−a jest możliwość opisywania projektowa−
nego układu na wiele sposobów m.in.: przepływowy (RTL −
do którego sprowadzane są inne), behawioralny (opis
zachowania się bloku w zależności od sygnałów zewnętrznych
i wewnętrznych) czy też strukturalny (zwykle hierarchiczny
opisujący budowę układu).
din nadal jest stosowana klauzula
when others . Wynika to z†faktu,
øe kompilatory rozpoznaj¹ takøe
inne stany niø ì0î i†ì1î (m.in.
s³abe zero i†s³ab¹ jedynkÍ, stan
wysokiej impedancji itp.) na wej-
úciach din , dla ktÛrych zadekla-
rowano typ std_logic_vector .
W†dalszej czÍúci list. 1†znaj-
duje siÍ opis sterowanego inwer-
tera, wykonanego na bramkach
Ex-OR. W†jego opisie pojawia siÍ
w³aúnie problem, o†ktÛrym wspo-
mnia³em na wstÍpie - chodzi
o†zapisanie sumy Ex-OR pomiÍ-
dzy 7-bitowym wektorem sk³ada-
j¹cym siÍ z†sygna³Ûw steruj¹cych
segmentami wyúwietlacza a†1-bi-
towym sygna³em clk . NiektÛre
syntezery VHDL (niezgodnie
z†obowi¹zuj¹cymi w†VHDL nor-
mami) pozwalaj¹ na przeci¹øanie
operatorÛw logicznych (w tym
xor ) w†taki sposÛb, øe moøliwy
by³by zapis segm_o <= segm xor
bp - domyúlnie jest implemento-
wane siedem sum logicznych dla
kaødego sygna³u z†wektora segm
z†sygna³em bp . Standardowo ope-
ratory logiczne s¹ przeznaczone
do wykonywania operacji na wek-
torach o†jednakowej d³ugoúci, ktÛ-
ra moøe byÊ wiÍksza od 1 bitu
- przyk³ad pokazano na list. 3 .
Niestety, taki zapis, podobnie do
pokazanego na list. 1, nie jest
zbyt wygodny, zw³aszcza gdy
d³ugoúÊ XOR-owanego wektora
jest duøa. Jakakolwiek zmiana
w†tej funkcji wymusza koniecz-
noúÊ modyfikowania wielu miejsc
programu, co jest czasoch³onne
i†moøe byÊ przyczyn¹ b³Ídu. Na
list. 4 pokazano uproszczony za-
pis wielobitowej funkcji Ex-OR,
w†ktÛrej d³ugoúÊ wektora moøna
List. 3. Alternatywny w stosunku
do pokazanego na list. 1 sposób
zapisu wielobitowej sumy Ex−OR
-- ................
bp_int(0) <= bp;
bp_int(1) <= bp;
bp_int(2) <= bp;
bp_int(3) <= bp;
bp_int(4) <= bp;
bp_int(5) <= bp;
bp_int(6) <= bp;
segm_o <= segm xor bp_int;
-- ................
List. 4. Alternatywny, najbardziej
elastyczny, zapis wielobitowej
sumy Ex−OR, ścisłego
odpowiednika zapisu z list. 1
-- ................
mb_xor: for i in 0 to 6 generate
segm_o(i) <= segm(i) xor bp;
end generate;
-- ................
Rys. 6. Jednym z mniej znanych narzędzi projektowych jest zdalny
kompilator WebFitter udostępniony bezpłatnie przez firmę Xilinx
34
Elektronika Praktyczna 6/2003
32583575.024.png 32583575.025.png 32583575.026.png 32583575.027.png 32583575.028.png 32583575.029.png 32583575.030.png 32583575.031.png 32583575.032.png 32583575.033.png 32583575.034.png 32583575.035.png 32583575.036.png 32583575.037.png 32583575.038.png 32583575.039.png 32583575.040.png 32583575.041.png 32583575.042.png 32583575.043.png 32583575.044.png 32583575.045.png 32583575.046.png
Dekoder−sterownik 7−segmentowego wyświetlacza LCD w VHDL
swobodnie regulowaÊ za pomoc¹
wartoúci parametru i . Utworzono
j¹ za pomoc¹ instrukcji powiela-
nia generate . Zapis pokazany na
list. 4†jest úcis³ym odpowiedni-
kiem opisu zastosowanego na
list. 1.
uk³ad XC95108 (programowany
w†systemie CPLD ze 108 makro-
komÛrkami). Dla wszystkich syg-
na³Ûw jest niezbÍdne 13 wypro-
wadzeÒ (5 wejúÊ i†8†wyjúÊ).
Plik z†opisem projektu (list. 1)
skompilowano za pomoc¹ bezp³at-
nych pakietÛw narzÍdziowych:
Max+Plus II Student Edition (ob-
s³uguje VHDL), Quartus II 2.2
Web Edition - obydwa firmy
Altera i†WebPack ISE 4.2 firmy
Xilinx.
Ma³o znanym, lecz rÛwnie
skutecznym jak narzÍdzia stacjo-
narne (instalowane na kompute-
rze uøytkownika), jest zdalny
internetowy kompilator firmy Xi-
linx - WebFitter (dostÍpny po
zarejestrowaniu pod adresem:
http://www.xilinx.com/xlnx/
xil_prodcat_landingpage.jsp?tit-
le=WebFITTER ). Za jego pomoc¹
moøna skompilowaÊ prezentowa-
ny projekt do postaci *.jed , czyli
wynikowej, przeznaczonej do
programowania uk³adu docelo-
wego. WebFitter generuje wszyst-
kie niezbÍdne raporty, pozwala
takøe kompilowaÊ projekty hie-
rarchiczne. Widoki kilku okien
pracuj¹cego WebFittera pokazano
na rys. 6 .
Piotr Zbysiñski, AVT
piotr.zbysinski@ep.com.pl
Implementacja
DziÍki zastosowaniu jako jÍ-
zyka opisu sprzÍtu VHDL-a,
z†prezentowanego projektu mog¹
skorzystaÊ uøytkownicy dowol-
nej rodziny uk³adÛw PLD.
Dekoder zajmuje 8†makrokomÛ-
rek w†uk³adach SPLD/CPLD
(zmieúci siÍ wiÍc nawet w†uk³a-
dzie GAL22V10 lub GAL16V8 -
po niewielkiej modyfikacji). Pro-
jekt by³ testowany na p³ytce
ewaluacyjnej opisanej w†EP9/
2002, na ktÛrej znajduje siÍ
Projekty dla systemu Web-
Pack ISE 4.2 wraz z†kodami
ürÛd³owym trzech wersji projektu
s¹ dostÍpne na stronie interne-
towej www.ep.com.pl w†dziale
Download>Dokumentacje. Publi-
kujemy je takøe na p³ycie CD-
EP7/2003B.
Elektronika Praktyczna 6/2003
35
32583575.047.png
Zgłoś jeśli naruszono regulamin