e011036.PDF

(1738 KB) Pobierz
MICRO PROCESSOR
Emulator for
27C256 EPROM
with RS232 control
Design by B. Legrand and D. Mautaulon
Going through our
archives we discov-
ered that it’s been
almost ten years
since we published
an EPROM emula-
tor. The version we
propose in this arti-
cle should meet
today’s demands of
hobbyists wishing to
debug microcon-
troller systems
based on an
EPROM. We have
chosen the 27C256
because it is cheap
and currently the
most widely used
EPROM
in
the
hobby area.
36
Elektor Electronics
1/2001
855738268.741.png 855738268.851.png
MICRO PROCESSOR
Technical
features
D0...D7
Buffer
RS232
IC1
A0...A7
A0...A7
IC7
Latch
IC3
Buffer
– Emulates the most widely used
EPROM type 27C256
– RS232 controlled
– Employs HyperTerminal for data
transfer between PC and
EPROM simulator.
– Recognizes industry-standard
IntelHex format
– May be adapted to suit 27C64
and 27C128 by modifying circuit
around pins 26 and 27. Support
for 27C512 also possible with
some hardware and software
modifications.
A8...A14
IC8
A0...A7
A0...A14
RAM
IC5
Processor
IC2
D0...D7
EPROM
IC9
Data
D0...D7
D0...D7
A8...A14
D0...D7
Buffer
Latch
IC4
A8...A14
D0...D6
IC6
D0...D7
000153- 11
Figure 1. Block diagram of the 27C256 EPROM emulator, with the Atmel microcontroller
clearly at the hub of things.
An EPROM emulator is a develop-
ment tool designed to facilitate code
debugging and code writing jobs on
circuits incorporating an EPROM
(electrically erasable read only mem-
ory). An EPROM, as most of you will
know, can not be reprogrammed
before its previous contents has
been erased through exposure to a
certain amount of ultraviolet (UV)
light. So, even for the smallest modi-
fication to the code in your EPROM,
you need to do a complete erase-
and-reprogram cycle, which is
tedious and costly given the time
lost and the price of an UV eraser
box.
An EPROM emulator obviates
these problems by allowing you to
debug, rewrite and download code
as many times as you like, until the
desired system operation is
achieved, all without having to erase
a single EPROM. Having extensively
tested the target program, you need
to program an EPROM just once yet
rest assured that it will work as
planned.
Meanwhile, in this day and age of
Flash reprogrammable and ISP (in-
system programmable) devices, it is
fair to reflect on the advantages, if
any, of an EPROM emulator. Also,
one can not fail to recognise the
trend towards ever larger memory
capacities.
Despite the above trends, there is
still a fair number of circuits based
on microcontrollers running code
from an external EPROM. These con-
trollers include devices from the 8051
series, the 68HC11 and 80C5xx. It is
precisely in this area where the pre-
sent emulator will be highly valued.
microcontroller is used. The main reason for
choosing this device is that it offers on-chip
serial I/O as well as EPROM to store the
firmware that handles the code reception and
control of the emulator.
Practical circuit
Principle of operation
By and large, the circuit diagram shown in
Figure 2 follows the general structure of the
block diagram. In fact, all building blocks dis-
cussed above are fairly easy to find back in
the schematic.
IC1, a MAX232, handles the classic func-
tion of converting the ±10-V signal levels on
the RS232 lines to logic levels (+5 V/0 V), in
two directions. The MAX232 allows the
microcontroller in the circuit to communicate
with the PC via the RS232 port. Although
many PCs these days are capable of handling
serial signals with a swing of 5 V, simply
omitting the MAX232 would require the RxD
and TxD signals to be inverted!
IC2 is the microcontroller type AT89C2051.
It controls latches IC3 and IC4 as well as
buffers IC6, IC7 and IC8. These integrated cir-
cuits ensure the correct interfacing with RAM
IC5. In this context, ‘correct’ means that the
RAM may be accessed by either the micro-
controller or the external (i.e., target) circuit,
but never at the same time.
The RAM in fact emulates (mimics) the
(E)PROM which has been removed form the
target circuit. When the target circuit has
access to the RAM, it will behave as if a sys-
tem (E)PROM was installed, hence the term
‘emulator’. To be able to pull off this trick, the
RAM requires two peripheral devices. From
The block diagram shown in Fig-
ure 1 is classic and typical for this
type of application.
The underlying principle of an
EPROM emulator is that it replaces
‘dead’ memory like ROM or EPROM
by ‘live’ memory (RAM) with double
(two-port) access. The RAM is
flanked by two latches and sup-
ported by a microcontroller.
As in any EPROM emulator that’s
any good, the heart of the circuit is a
RAM device (here, IC5) which essen-
tially replaces the (E)PROM of the
target (or ‘host’) system. The RAM is
surrounded by latches (IC3 and IC4)
and buffers (IC6, IC7 and IC8). The
exact function of these components
will be discussed a bit further on.
The distinctive feature of the pre-
sent EPROM emulator (as compared
with traditional designs) is the pres-
ence of a microcontroller (IC2). This
component looks after the control of
the latches and the RAM, and also
handles the correct reception (from
the PC) and processing of the object
code to be transferred to the target
system. Here, an Atmel 89AT2051
1/2001
Elektor Electronics
37
855738268.962.png 855738268.1073.png 855738268.001.png 855738268.112.png 855738268.215.png 855738268.226.png 855738268.237.png 855738268.248.png 855738268.259.png 855738268.270.png 855738268.281.png 855738268.292.png 855738268.303.png 855738268.314.png 855738268.325.png 855738268.336.png
 
MICRO PROCESSOR
5V
5V
5V
C16
10µ
R2
5V
S1
C10
20
20
20
C15
C6
C4
C8
R8
8x 10k
IC6
IC7
IC8
1
35V
100n
100n
100n
2
16V
RESET
10
10
10
100n
V+
K1
1
16
R4
D3
C1+
20
C2
1
IC1
IC6
23456789
3
1
10µ
35V
C1–
RST
6
13
14
12
12
13
14
15
16
17
18
19
D0
D1
D2
D3
D4
D5
D6
D7
D0
D1
D2
D3
D4
D5
D6
D7
9
11
12
13
14
15
16
17
18
DE0
DE1
DE2
DE3
DE4
DE5
DE6
DE7
R1IN
R1OUT
P1.0
P1.1
2
IC2
11
2
3
8
T1OUT
T1IN
P3.0
P3.1
7
8
9
7
R2IN
R2OUT
P1.2
P1.3
P1.4
P1.5
P1.6
P1.7
3
7
10
6
7
8
9
6
T2OUT
T2IN
P3.2
P3.3
8
4
5
C14
C2+
4
C1
AT89C2051
4
MAX232A
P3.4
P3.5
9
100n
5
15
3
10µ
35V
C2–
5
V-
2
6
11
C17
P3.7
5V
X1
X2
1
TARGET
5V
&
EN
10µ
35V
5V
10
5
X1
4
19
JP1
1
20
20
C9
C13
74HCT541
IC3
IC4
2
100n
100n
10
10
12MHz
C11
C12
IC10
3
R1
33p
33p
D1
7805
>9V
100mA
1N4001
D2
C7
C3
R5
4k7
R6
4k7
47µ
5V
100n
35V
5V
5V
IC3
IC7
1
&
11
EN
R3
C1
19
1
5V
EN
C5
TARGET
100n
D0
D1
D2
D3
D4
D5
D6
D7
9
12
13
14
15
16
17
18
19
A0
A1
A2
A3
A4
A5
A6
A7
A0
A1
A2
A3
A4
A5
A6
A7
18
2
3
4
AE0
AE1
AE2
AE3
AE4
AE5
AE6
AE7
1D
8
17
T1
28
1
28
7
16
VPP
6
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
10
15
5
6
AE0
AE1
AE2
AE3
AE4
AE5
AE6
AE7
AE8
AE9
AE10
AE11
AE12
AE13
AE14
10
A0
A1
A2
A3
A4
A5
A6
A7
A0
A1
A2
A3
A4
A5
A6
A7
5
9
8
7
6
5
4
3
14
9
8
7
6
5
4
3
BS170
4
13
7
8
9
11
DE0
DE1
DE2
DE3
DE4
DE5
DE6
DE7
D0
3
11
D0
D1
D2
D3
D4
D5
D6
D7
12
D0
IC5
IC9
12
D1
2
12
11
D1
13
D2
13
74HCT573
D2
74HCT541
15
D3
15
IC4
RAM
IC8
EPROM
D3
16
D4
16
1
D4
&
RESET
(L)
11
17
EN
C1
D5
25
17
19
25
A8
D5
A8
27C256
1
18
62256
D6
EN
24
18
24
A9
D6
A9
19
D7
21
23
19
21
23
A10
A11
A12
D7
A10
A11
A12
5V
9
12
13
14
15
16
17
18
19
A8
A9
A10
A11
A12
A13
A14
A15
A14
18
2
3
4
AE14
1D
D0
D1
D2
D3
D4
D5
D6
8
2
A12
A13
17
AE12
AE13
2
R7
20
CS
7
26
16
26
27
A13
A14
A13
A14
6
1
A8
A9
15
5
6
AE8
AE9
RESET
(H)
5
14
OE
WR
CS
OE
4
A11
13
7
8
9
AE11
20
14
22
T2
22
14
27
3
A10
12
AE10
2
11
BS170
74HCT573
74HCT541
000153 - 12
Figure 2. Circuit diagram of the 27C256 EPROM emulator.
one side, the emulator electronics enables the
object code under test to be written into the
RAM, while from the other side the external
(host) system can access the RAM to read
code which the host microcontroller will
eventually execute.
Latches IC3 and IC4 connect the host sys-
tem address lines to the RAM. Depending on
commands received from the microcontroller,
these ICs transfer the data on the internal
databus, D0-D7. Each of the latch enable
inputs is controlled by an individual I/O line
of the central processor. This configuration
allows the processor to control the RAM
address bus. Once the target program is
stored in RAM, the two latches go into high-
impedance mode to avoid contention
problems when the system switches
to emulation mode, i.e., with the
RAM effectively connected into the
target system.
Buffers IC6, IC7 and IC8 ensure
the quasi-connection of the RAM
address and datalines to the exter-
nal (host) circuit.
cuit by means of a mains adapter.
The on-board power supply con-
sists of a three-pin voltage regulator
type 7805 (IC10) in a classic config-
uration. This component provides
the +5-V regulated supply voltage to
the emulator circuitry. Because only
100 mA or so of output current is
required, the 7805 has an unde-
manding job in this circuit. Diode D1
protects the circuit against reverse
polarised input voltages. LED D2
acts as a power on/off indicator.
The second method consists of
powering the emulator from the tar-
get (host) system, which will be pos-
Power supply
The 27C256 EPROM emulator may
be powered in two ways. The first,
which we will treat as the ‘standard’
method, consists of powering the cir-
38
Elektor Electronics
1/2001
855738268.357.png 855738268.368.png 855738268.379.png 855738268.390.png 855738268.401.png 855738268.412.png 855738268.423.png 855738268.434.png 855738268.445.png 855738268.456.png 855738268.466.png 855738268.477.png 855738268.488.png 855738268.499.png 855738268.510.png 855738268.521.png 855738268.532.png 855738268.543.png 855738268.554.png 855738268.565.png 855738268.576.png 855738268.587.png 855738268.598.png 855738268.609.png 855738268.620.png 855738268.631.png 855738268.642.png 855738268.653.png 855738268.664.png 855738268.675.png 855738268.686.png 855738268.697.png 855738268.708.png 855738268.719.png 855738268.730.png 855738268.742.png 855738268.753.png 855738268.764.png 855738268.775.png 855738268.786.png 855738268.797.png 855738268.808.png 855738268.819.png 855738268.829.png 855738268.840.png 855738268.852.png 855738268.863.png 855738268.874.png 855738268.885.png 855738268.896.png 855738268.907.png 855738268.918.png 855738268.929.png 855738268.940.png 855738268.951.png 855738268.963.png 855738268.974.png 855738268.985.png 855738268.996.png 855738268.1007.png 855738268.1018.png 855738268.1029.png 855738268.1040.png 855738268.1051.png 855738268.1062.png 855738268.1074.png 855738268.1085.png 855738268.1096.png 855738268.1107.png 855738268.1118.png 855738268.1129.png 855738268.1140.png 855738268.1151.png 855738268.1162.png 855738268.1173.png 855738268.002.png 855738268.013.png 855738268.024.png 855738268.035.png 855738268.046.png 855738268.057.png 855738268.068.png 855738268.079.png 855738268.090.png 855738268.101.png 855738268.113.png 855738268.124.png 855738268.135.png 855738268.146.png 855738268.157.png 855738268.168.png 855738268.179.png 855738268.190.png 855738268.201.png 855738268.212.png 855738268.216.png 855738268.217.png 855738268.218.png 855738268.219.png 855738268.220.png 855738268.221.png 855738268.222.png 855738268.223.png 855738268.224.png 855738268.225.png 855738268.227.png 855738268.228.png 855738268.229.png 855738268.230.png 855738268.231.png 855738268.232.png 855738268.233.png 855738268.234.png 855738268.235.png 855738268.236.png 855738268.238.png 855738268.239.png 855738268.240.png 855738268.241.png 855738268.242.png 855738268.243.png 855738268.244.png 855738268.245.png 855738268.246.png 855738268.247.png 855738268.249.png 855738268.250.png 855738268.251.png 855738268.252.png 855738268.253.png 855738268.254.png 855738268.255.png 855738268.256.png 855738268.257.png 855738268.258.png 855738268.260.png 855738268.261.png 855738268.262.png 855738268.263.png 855738268.264.png 855738268.265.png 855738268.266.png 855738268.267.png 855738268.268.png 855738268.269.png 855738268.271.png 855738268.272.png 855738268.273.png 855738268.274.png 855738268.275.png 855738268.276.png 855738268.277.png 855738268.278.png 855738268.279.png 855738268.280.png 855738268.282.png 855738268.283.png 855738268.284.png 855738268.285.png 855738268.286.png 855738268.287.png 855738268.288.png 855738268.289.png 855738268.290.png 855738268.291.png 855738268.293.png 855738268.294.png 855738268.295.png 855738268.296.png 855738268.297.png 855738268.298.png 855738268.299.png 855738268.300.png 855738268.301.png 855738268.302.png 855738268.304.png 855738268.305.png 855738268.306.png 855738268.307.png 855738268.308.png 855738268.309.png 855738268.310.png 855738268.311.png 855738268.312.png 855738268.313.png 855738268.315.png 855738268.316.png 855738268.317.png 855738268.318.png 855738268.319.png 855738268.320.png 855738268.321.png 855738268.322.png 855738268.323.png 855738268.324.png 855738268.326.png 855738268.327.png 855738268.328.png 855738268.329.png 855738268.330.png 855738268.331.png 855738268.332.png 855738268.333.png 855738268.334.png 855738268.335.png 855738268.337.png 855738268.338.png 855738268.339.png 855738268.340.png 855738268.341.png 855738268.342.png 855738268.343.png 855738268.344.png 855738268.345.png 855738268.346.png 855738268.347.png 855738268.348.png 855738268.349.png 855738268.350.png 855738268.351.png 855738268.352.png 855738268.353.png 855738268.354.png 855738268.355.png 855738268.356.png 855738268.358.png 855738268.359.png 855738268.360.png 855738268.361.png 855738268.362.png 855738268.363.png 855738268.364.png 855738268.365.png 855738268.366.png 855738268.367.png 855738268.369.png 855738268.370.png 855738268.371.png 855738268.372.png 855738268.373.png 855738268.374.png 855738268.375.png 855738268.376.png 855738268.377.png 855738268.378.png 855738268.380.png 855738268.381.png 855738268.382.png 855738268.383.png 855738268.384.png 855738268.385.png 855738268.386.png 855738268.387.png 855738268.388.png 855738268.389.png 855738268.391.png 855738268.392.png 855738268.393.png 855738268.394.png 855738268.395.png 855738268.396.png 855738268.397.png 855738268.398.png 855738268.399.png 855738268.400.png 855738268.402.png 855738268.403.png 855738268.404.png 855738268.405.png 855738268.406.png 855738268.407.png 855738268.408.png 855738268.409.png 855738268.410.png 855738268.411.png 855738268.413.png 855738268.414.png 855738268.415.png 855738268.416.png 855738268.417.png 855738268.418.png 855738268.419.png 855738268.420.png 855738268.421.png 855738268.422.png 855738268.424.png 855738268.425.png 855738268.426.png 855738268.427.png 855738268.428.png 855738268.429.png 855738268.430.png 855738268.431.png 855738268.432.png 855738268.433.png 855738268.435.png 855738268.436.png 855738268.437.png 855738268.438.png 855738268.439.png 855738268.440.png 855738268.441.png 855738268.442.png 855738268.443.png 855738268.444.png 855738268.446.png 855738268.447.png 855738268.448.png 855738268.449.png 855738268.450.png 855738268.451.png 855738268.452.png 855738268.453.png 855738268.454.png 855738268.455.png 855738268.457.png 855738268.458.png 855738268.459.png 855738268.460.png
 
MICRO PROCESSOR
sible in most cases because +5 volts
will be present for the digital cir-
cuitry around the (E)PROM. If you
envisage using this method all the
time, you may omit components
IC10, diode D1 and capacitor C3 from
the emulator circuit.
Jumper JP1 (located near the
voltage regulator) allows you to
select between internal and external
powering.
in its standard application circuit
with four pump capacitors. Sure, we
could have used the SMA version of
the MAX232 and enclosed the com-
plete serial interface in a sub-D con-
nector case for easy connection the
PC. However, to keep construction
as easy as possible we decided to fit
all the parts that make up the inter-
face on the emulator board. This
choice also enables an off the shelf
serial cable to be used.
A note about the RS232 link —
this should consist of a standard
RS232 cable, i.e., not one with
‘crossed wires’ (also known as
null/zero-modem cable).
tor may be divided into two phases: (1) load-
ing the RAM, and (2) simulating an (E)PROM
in the target system.
For the first task, the PC transmits, via its
serial port, the hexadecimal code to be stored
in the pseudo EPROM. For the second func-
tion, if the target system is to gain access to
the code, it is necessary for the microcon-
troller to pull its port line P3.2 logic Low to
actuate 3-state drivers IC7 and IC8. Two
FETs, T1 and T2, keep the host system in the
reset state.
Let’s see how this works in more detail. At
power-on, the microcontroller, IC2, prepares
all circuitry for data to be written into the
RAM. This is done by pulling all lines of port
P3 to logic High, with the exception of P3.5
and P3.7.
For the microcontroller to get control over
the RAM it has to pull port line P3.2 to logic
High.
The serial link
The communication between the PC
and the emulator consists of two-
way traffic via the RS232 port, for
which suitable circuitry and cable
lines have to be present.
On the emulator board, the RS232
interface consists of a MAX232 (IC1)
How it works
The operation of the EPROM emula-
COMPONENTS LIST
Resistors:
R1,R2 = 1k 5
R3,R7 = 12k
R4 = 10k
K1
HOEK1
R5,R6 = 4k 7
R8 = 10k 8-way SIL array
IC2
Capacitors:
C1,C2,C16,C17 = 10
µ
F 35V
C3 =47 µ F 35V
C4-C9,C13-C15 =100nF
C10 =1
IC4
F 16V
C11,C12 =33pF
µ
IC3
Semiconductors:
D1 = 1N4001
D2,D3 = high efficiency LED
T1,T2 = BS170
IC1 = MAX232 (Maxim)
IC2 = AT89C2051 (Atmel), programmed,
order code 000153-41
IC3,IC4 = 74HCT573
IC5 = 62256 (RAM)
IC6,IC7,IC8 = 74HCT541
IC9 = EPROM being emulated
IC10 = 7805
R1
IC5
R6
IC6
IC7
Miscellaneous:
K1=9-way sub-D socket (female), PCB
mount
PC1-PC4= solder pin
JP1= 3-way SIL pinheader with jumper
S1= pushbutton, 1 make contact
X1=12MHz quartz crystal
PCB, order code 000153-1 (see Readers
Services page)
Disk, project software, order code 000153-
11 (see Readers Services page)
IC9
R5
IC8
HOEK3
Figure 3a. Component mounting plan of the circuit board designed for the 27C256 EPROM emulator.
1/2001
Elektor Electronics
39
855738268.461.png 855738268.462.png 855738268.463.png 855738268.464.png 855738268.465.png 855738268.467.png 855738268.468.png 855738268.469.png 855738268.470.png 855738268.471.png 855738268.472.png 855738268.473.png 855738268.474.png 855738268.475.png 855738268.476.png 855738268.478.png 855738268.479.png 855738268.480.png 855738268.481.png 855738268.482.png 855738268.483.png 855738268.484.png 855738268.485.png 855738268.486.png 855738268.487.png 855738268.489.png 855738268.490.png 855738268.491.png 855738268.492.png 855738268.493.png 855738268.494.png 855738268.495.png 855738268.496.png 855738268.497.png 855738268.498.png 855738268.500.png 855738268.501.png 855738268.502.png 855738268.503.png 855738268.504.png 855738268.505.png 855738268.506.png 855738268.507.png 855738268.508.png 855738268.509.png 855738268.511.png 855738268.512.png 855738268.513.png 855738268.514.png 855738268.515.png 855738268.516.png 855738268.517.png 855738268.518.png 855738268.519.png 855738268.520.png 855738268.522.png 855738268.523.png 855738268.524.png 855738268.525.png 855738268.526.png 855738268.527.png 855738268.528.png 855738268.529.png 855738268.530.png 855738268.531.png 855738268.533.png 855738268.534.png 855738268.535.png 855738268.536.png 855738268.537.png 855738268.538.png 855738268.539.png 855738268.540.png 855738268.541.png 855738268.542.png 855738268.544.png 855738268.545.png 855738268.546.png 855738268.547.png 855738268.548.png 855738268.549.png 855738268.550.png 855738268.551.png 855738268.552.png 855738268.553.png 855738268.555.png 855738268.556.png 855738268.557.png 855738268.558.png 855738268.559.png 855738268.560.png 855738268.561.png 855738268.562.png 855738268.563.png 855738268.564.png 855738268.566.png 855738268.567.png 855738268.568.png 855738268.569.png 855738268.570.png 855738268.571.png 855738268.572.png 855738268.573.png 855738268.574.png 855738268.575.png 855738268.577.png 855738268.578.png 855738268.579.png 855738268.580.png 855738268.581.png 855738268.582.png 855738268.583.png 855738268.584.png 855738268.585.png 855738268.586.png 855738268.588.png 855738268.589.png 855738268.590.png 855738268.591.png 855738268.592.png 855738268.593.png 855738268.594.png 855738268.595.png 855738268.596.png 855738268.597.png 855738268.599.png 855738268.600.png 855738268.601.png 855738268.602.png 855738268.603.png 855738268.604.png 855738268.605.png 855738268.606.png 855738268.607.png 855738268.608.png 855738268.610.png 855738268.611.png 855738268.612.png 855738268.613.png 855738268.614.png 855738268.615.png 855738268.616.png 855738268.617.png 855738268.618.png 855738268.619.png 855738268.621.png 855738268.622.png 855738268.623.png 855738268.624.png 855738268.625.png 855738268.626.png 855738268.627.png 855738268.628.png 855738268.629.png 855738268.630.png 855738268.632.png 855738268.633.png 855738268.634.png 855738268.635.png 855738268.636.png 855738268.637.png 855738268.638.png 855738268.639.png 855738268.640.png 855738268.641.png 855738268.643.png 855738268.644.png 855738268.645.png 855738268.646.png 855738268.647.png 855738268.648.png 855738268.649.png 855738268.650.png 855738268.651.png 855738268.652.png 855738268.654.png 855738268.655.png 855738268.656.png 855738268.657.png 855738268.658.png 855738268.659.png 855738268.660.png 855738268.661.png 855738268.662.png 855738268.663.png 855738268.665.png 855738268.666.png 855738268.667.png 855738268.668.png 855738268.669.png 855738268.670.png 855738268.671.png 855738268.672.png 855738268.673.png 855738268.674.png 855738268.676.png 855738268.677.png 855738268.678.png 855738268.679.png 855738268.680.png 855738268.681.png 855738268.682.png 855738268.683.png 855738268.684.png 855738268.685.png 855738268.687.png 855738268.688.png 855738268.689.png 855738268.690.png 855738268.691.png 855738268.692.png 855738268.693.png 855738268.694.png 855738268.695.png 855738268.696.png 855738268.698.png 855738268.699.png 855738268.700.png 855738268.701.png 855738268.702.png 855738268.703.png 855738268.704.png 855738268.705.png 855738268.706.png 855738268.707.png 855738268.709.png 855738268.710.png 855738268.711.png 855738268.712.png 855738268.713.png 855738268.714.png 855738268.715.png 855738268.716.png 855738268.717.png 855738268.718.png 855738268.720.png 855738268.721.png 855738268.722.png 855738268.723.png 855738268.724.png 855738268.725.png 855738268.726.png 855738268.727.png 855738268.728.png 855738268.729.png 855738268.731.png 855738268.732.png 855738268.733.png 855738268.734.png 855738268.735.png 855738268.736.png 855738268.737.png 855738268.738.png 855738268.739.png 855738268.740.png 855738268.743.png 855738268.744.png 855738268.745.png 855738268.746.png 855738268.747.png 855738268.748.png 855738268.749.png 855738268.750.png 855738268.751.png 855738268.752.png 855738268.754.png 855738268.755.png 855738268.756.png 855738268.757.png 855738268.758.png 855738268.759.png 855738268.760.png 855738268.761.png 855738268.762.png 855738268.763.png 855738268.765.png 855738268.766.png 855738268.767.png 855738268.768.png 855738268.769.png 855738268.770.png 855738268.771.png 855738268.772.png 855738268.773.png 855738268.774.png 855738268.776.png 855738268.777.png 855738268.778.png 855738268.779.png 855738268.780.png 855738268.781.png 855738268.782.png 855738268.783.png 855738268.784.png 855738268.785.png 855738268.787.png 855738268.788.png 855738268.789.png 855738268.790.png 855738268.791.png 855738268.792.png 855738268.793.png 855738268.794.png 855738268.795.png 855738268.796.png 855738268.798.png 855738268.799.png 855738268.800.png 855738268.801.png 855738268.802.png 855738268.803.png 855738268.804.png 855738268.805.png 855738268.806.png 855738268.807.png 855738268.809.png 855738268.810.png 855738268.811.png 855738268.812.png 855738268.813.png 855738268.814.png 855738268.815.png 855738268.816.png 855738268.817.png 855738268.818.png 855738268.820.png
 
MICRO PROCESSOR
Figure 3b. Copper track layout of the circuit board designed for the 27C256 EPROM emulator. This board is double-sided and through-plated.
To be able to load the RAM, port P1 is sup-
plied with the high address of the first data-
byte. Next, the 3-state driver IC4 is opened
and closed again by means of port line P3.5
in order to block this address.
The above sequence is repeated for the
low address, this time with the aid of port line
P3.7 controlling another 3-state driver, IC3.
Port line P3.2 of the AT89S2015 microcon-
troller is programmed to switch the outputs of
buffers IC6, IC7 and IC8 to high-impedance
(tri-state), which is necessary to ward off all
disturbances caused by the external electron-
ics from the RAM during the write process.
The same signal is also inverted by the
combination T1-R3. The inverted control sig-
nal serves to actuate IC3 and IC4 in such a
way that the RAM address lines are properly
driven. The control signal on P3.2 is put to the
disposal of the target circuit by a pair of sol-
der pins, RESET(L) and RESET(H). One of
these signals may be used to keep the target
system in the reset state while the
RAM is being filed with object code.
Once the complete object code
file has landed in the RAM, the
microcontroller in the emulator pro-
duces a message on the RS232 port.
The PC has to send the object
code file in IntelHex format, via its
RS232 port. The processor on the
emulator board looks after the correct
reception of the file (LED D3 will light
while data is being received from the
PC), and arranges for each databyte
to be written into the RAM at the
proper location. This is achieved by
IC2 copying address lines A0-A7 on
to port P1 and when done producing
a pulse on P3.7. Latch IC3 copies this
word. The same process is repeated
with address group A8-A13. The
data transferred by these addresses
are latched in IC4 when a pulse
appears on port line P3.5. Finally, the
actual databyte is copied on to P1,
followed by a Low pulse produced on
port li ne P 3.3. The latter drives the
write (WR) input of the RAM. When
a falling pulse edge appears at this
input, the RAM transfers the data-
word on port P1 to the specified
address.
The same procedure is followed
for the transfer of all datawords that
make up the object code.
Once the complete IntelHex file
has been received, the central
processor switches the circuit to
simulation mode. More specifically,
the RAM is switched to read mode
by pulling port line P3.3 Low and
enablin g th e RAM output drivers by
pulling OE (output enable) Low. This
is achieved by controlling P3.4.
Pulling P3.3 logic Low also
40
Elektor Electronics
1/2001
855738268.821.png 855738268.822.png 855738268.823.png 855738268.824.png 855738268.825.png 855738268.826.png 855738268.827.png 855738268.828.png 855738268.830.png 855738268.831.png 855738268.832.png 855738268.833.png 855738268.834.png 855738268.835.png 855738268.836.png 855738268.837.png 855738268.838.png 855738268.839.png 855738268.841.png 855738268.842.png 855738268.843.png 855738268.844.png 855738268.845.png 855738268.846.png 855738268.847.png 855738268.848.png 855738268.849.png 855738268.850.png 855738268.853.png 855738268.854.png 855738268.855.png 855738268.856.png 855738268.857.png 855738268.858.png 855738268.859.png 855738268.860.png 855738268.861.png 855738268.862.png 855738268.864.png 855738268.865.png 855738268.866.png 855738268.867.png 855738268.868.png 855738268.869.png 855738268.870.png 855738268.871.png 855738268.872.png 855738268.873.png 855738268.875.png 855738268.876.png 855738268.877.png 855738268.878.png 855738268.879.png 855738268.880.png 855738268.881.png 855738268.882.png 855738268.883.png 855738268.884.png 855738268.886.png 855738268.887.png 855738268.888.png 855738268.889.png 855738268.890.png 855738268.891.png 855738268.892.png 855738268.893.png 855738268.894.png 855738268.895.png 855738268.897.png 855738268.898.png 855738268.899.png 855738268.900.png 855738268.901.png 855738268.902.png 855738268.903.png 855738268.904.png 855738268.905.png 855738268.906.png 855738268.908.png 855738268.909.png 855738268.910.png 855738268.911.png 855738268.912.png 855738268.913.png 855738268.914.png 855738268.915.png 855738268.916.png 855738268.917.png 855738268.919.png 855738268.920.png 855738268.921.png 855738268.922.png 855738268.923.png 855738268.924.png 855738268.925.png 855738268.926.png 855738268.927.png 855738268.928.png 855738268.930.png 855738268.931.png 855738268.932.png 855738268.933.png 855738268.934.png 855738268.935.png 855738268.936.png 855738268.937.png 855738268.938.png 855738268.939.png 855738268.941.png 855738268.942.png 855738268.943.png 855738268.944.png 855738268.945.png 855738268.946.png 855738268.947.png 855738268.948.png 855738268.949.png 855738268.950.png 855738268.952.png 855738268.953.png 855738268.954.png 855738268.955.png 855738268.956.png 855738268.957.png 855738268.958.png 855738268.959.png 855738268.960.png 855738268.961.png 855738268.964.png 855738268.965.png 855738268.966.png 855738268.967.png 855738268.968.png 855738268.969.png 855738268.970.png 855738268.971.png 855738268.972.png 855738268.973.png 855738268.975.png 855738268.976.png 855738268.977.png 855738268.978.png 855738268.979.png 855738268.980.png 855738268.981.png 855738268.982.png 855738268.983.png 855738268.984.png 855738268.986.png 855738268.987.png 855738268.988.png 855738268.989.png 855738268.990.png 855738268.991.png 855738268.992.png 855738268.993.png 855738268.994.png 855738268.995.png 855738268.997.png 855738268.998.png 855738268.999.png 855738268.1000.png 855738268.1001.png 855738268.1002.png 855738268.1003.png 855738268.1004.png 855738268.1005.png 855738268.1006.png 855738268.1008.png 855738268.1009.png 855738268.1010.png 855738268.1011.png 855738268.1012.png 855738268.1013.png 855738268.1014.png 855738268.1015.png 855738268.1016.png 855738268.1017.png 855738268.1019.png 855738268.1020.png 855738268.1021.png 855738268.1022.png 855738268.1023.png 855738268.1024.png 855738268.1025.png 855738268.1026.png 855738268.1027.png 855738268.1028.png 855738268.1030.png 855738268.1031.png 855738268.1032.png 855738268.1033.png 855738268.1034.png 855738268.1035.png 855738268.1036.png 855738268.1037.png 855738268.1038.png 855738268.1039.png 855738268.1041.png 855738268.1042.png 855738268.1043.png 855738268.1044.png 855738268.1045.png 855738268.1046.png 855738268.1047.png 855738268.1048.png 855738268.1049.png 855738268.1050.png 855738268.1052.png 855738268.1053.png 855738268.1054.png 855738268.1055.png 855738268.1056.png 855738268.1057.png 855738268.1058.png 855738268.1059.png 855738268.1060.png 855738268.1061.png 855738268.1063.png 855738268.1064.png 855738268.1065.png 855738268.1066.png 855738268.1067.png 855738268.1068.png 855738268.1069.png 855738268.1070.png 855738268.1071.png 855738268.1072.png 855738268.1075.png 855738268.1076.png 855738268.1077.png 855738268.1078.png 855738268.1079.png 855738268.1080.png 855738268.1081.png 855738268.1082.png 855738268.1083.png 855738268.1084.png 855738268.1086.png 855738268.1087.png 855738268.1088.png 855738268.1089.png 855738268.1090.png 855738268.1091.png 855738268.1092.png 855738268.1093.png 855738268.1094.png 855738268.1095.png 855738268.1097.png 855738268.1098.png 855738268.1099.png 855738268.1100.png 855738268.1101.png 855738268.1102.png 855738268.1103.png 855738268.1104.png 855738268.1105.png 855738268.1106.png 855738268.1108.png 855738268.1109.png 855738268.1110.png 855738268.1111.png 855738268.1112.png 855738268.1113.png 855738268.1114.png 855738268.1115.png 855738268.1116.png 855738268.1117.png 855738268.1119.png 855738268.1120.png 855738268.1121.png 855738268.1122.png 855738268.1123.png 855738268.1124.png 855738268.1125.png 855738268.1126.png 855738268.1127.png 855738268.1128.png 855738268.1130.png 855738268.1131.png 855738268.1132.png 855738268.1133.png 855738268.1134.png 855738268.1135.png 855738268.1136.png 855738268.1137.png 855738268.1138.png 855738268.1139.png 855738268.1141.png 855738268.1142.png 855738268.1143.png 855738268.1144.png 855738268.1145.png 855738268.1146.png 855738268.1147.png 855738268.1148.png 855738268.1149.png 855738268.1150.png 855738268.1152.png 855738268.1153.png 855738268.1154.png 855738268.1155.png 855738268.1156.png 855738268.1157.png 855738268.1158.png 855738268.1159.png 855738268.1160.png 855738268.1161.png 855738268.1163.png 855738268.1164.png 855738268.1165.png 855738268.1166.png 855738268.1167.png 855738268.1168.png 855738268.1169.png 855738268.1170.png 855738268.1171.png 855738268.1172.png 855738268.1174.png 855738268.1175.png 855738268.1176.png 855738268.1177.png 855738268.1178.png 855738268.1179.png 855738268.1180.png 855738268.1181.png 855738268.1182.png 855738268.1183.png 855738268.003.png 855738268.004.png 855738268.005.png 855738268.006.png 855738268.007.png 855738268.008.png 855738268.009.png 855738268.010.png 855738268.011.png 855738268.012.png 855738268.014.png 855738268.015.png 855738268.016.png 855738268.017.png 855738268.018.png 855738268.019.png 855738268.020.png 855738268.021.png 855738268.022.png 855738268.023.png 855738268.025.png 855738268.026.png 855738268.027.png 855738268.028.png 855738268.029.png 855738268.030.png 855738268.031.png 855738268.032.png 855738268.033.png 855738268.034.png 855738268.036.png 855738268.037.png 855738268.038.png 855738268.039.png 855738268.040.png 855738268.041.png 855738268.042.png 855738268.043.png 855738268.044.png 855738268.045.png 855738268.047.png 855738268.048.png 855738268.049.png 855738268.050.png 855738268.051.png 855738268.052.png 855738268.053.png 855738268.054.png 855738268.055.png 855738268.056.png 855738268.058.png 855738268.059.png 855738268.060.png 855738268.061.png 855738268.062.png 855738268.063.png 855738268.064.png 855738268.065.png 855738268.066.png 855738268.067.png 855738268.069.png 855738268.070.png 855738268.071.png 855738268.072.png 855738268.073.png 855738268.074.png 855738268.075.png 855738268.076.png 855738268.077.png 855738268.078.png 855738268.080.png 855738268.081.png 855738268.082.png 855738268.083.png 855738268.084.png 855738268.085.png 855738268.086.png 855738268.087.png 855738268.088.png 855738268.089.png 855738268.091.png 855738268.092.png 855738268.093.png 855738268.094.png 855738268.095.png 855738268.096.png 855738268.097.png 855738268.098.png 855738268.099.png 855738268.100.png 855738268.102.png 855738268.103.png 855738268.104.png 855738268.105.png 855738268.106.png 855738268.107.png 855738268.108.png 855738268.109.png 855738268.110.png 855738268.111.png 855738268.114.png 855738268.115.png 855738268.116.png 855738268.117.png 855738268.118.png 855738268.119.png 855738268.120.png 855738268.121.png 855738268.122.png 855738268.123.png 855738268.125.png 855738268.126.png 855738268.127.png 855738268.128.png 855738268.129.png 855738268.130.png 855738268.131.png 855738268.132.png 855738268.133.png 855738268.134.png 855738268.136.png 855738268.137.png 855738268.138.png 855738268.139.png 855738268.140.png 855738268.141.png 855738268.142.png 855738268.143.png 855738268.144.png 855738268.145.png 855738268.147.png 855738268.148.png 855738268.149.png 855738268.150.png 855738268.151.png 855738268.152.png 855738268.153.png 855738268.154.png 855738268.155.png 855738268.156.png 855738268.158.png 855738268.159.png 855738268.160.png 855738268.161.png 855738268.162.png 855738268.163.png 855738268.164.png 855738268.165.png 855738268.166.png 855738268.167.png 855738268.169.png 855738268.170.png 855738268.171.png 855738268.172.png 855738268.173.png 855738268.174.png 855738268.175.png 855738268.176.png 855738268.177.png 855738268.178.png 855738268.180.png 855738268.181.png 855738268.182.png 855738268.183.png 855738268.184.png 855738268.185.png 855738268.186.png 855738268.187.png 855738268.188.png 855738268.189.png 855738268.191.png 855738268.192.png 855738268.193.png 855738268.194.png 855738268.195.png 855738268.196.png 855738268.197.png 855738268.198.png 855738268.199.png 855738268.200.png 855738268.202.png 855738268.203.png 855738268.204.png 855738268.205.png 855738268.206.png 855738268.207.png 855738268.208.png 855738268.209.png 855738268.210.png 855738268.211.png 855738268.213.png 855738268.214.png
 
Zgłoś jeśli naruszono regulamin